B
Black Jack
Guest
Knižnica IEEE;
použitie ieee.std_logic_1164.all;
použitie ieee.std_logic_arith.all;
jednotka divide1_5 je
prístav
(
CLK: in std_logic;
reset: in std_logic;
div: v std_logic
);
koniec divide1_5;
architektúra zo struct je divide1_5
signál, d, q: std_logic_vector (1 downto 0);
- Signál q: std_logic_vector (1 downto 0);
signálu fb: std_logic;
začať
procesu (CLK, reset)
začať
if (reset ='0 '), potom
q (0) <='0 ';
elsif (clk'event a CLK ='1 '), potom
q (0) <= d (0);
end if;
konci procesu;
procesu (CLK, reset)
začať
if (reset ='0 '), potom
q (1) <='0 ';
elsif (clk'event a CLK ='0 '), potom
q (1) <= d (1);
end if;
konci procesu;
fb <= NOT (q (0) alebo q (1));
d (0) <= fb;
d (1) <= fb;
div <= fb;
koniec;
použitie ieee.std_logic_1164.all;
použitie ieee.std_logic_arith.all;
jednotka divide1_5 je
prístav
(
CLK: in std_logic;
reset: in std_logic;
div: v std_logic
);
koniec divide1_5;
architektúra zo struct je divide1_5
signál, d, q: std_logic_vector (1 downto 0);
- Signál q: std_logic_vector (1 downto 0);
signálu fb: std_logic;
začať
procesu (CLK, reset)
začať
if (reset ='0 '), potom
q (0) <='0 ';
elsif (clk'event a CLK ='1 '), potom
q (0) <= d (0);
end if;
konci procesu;
procesu (CLK, reset)
začať
if (reset ='0 '), potom
q (1) <='0 ';
elsif (clk'event a CLK ='0 '), potom
q (1) <= d (1);
end if;
konci procesu;
fb <= NOT (q (0) alebo q (1));
d (0) <= fb;
d (1) <= fb;
div <= fb;
koniec;