VHDL proti senzor otázka

E

enterkill

Guest
Ahoj, mám VHDL kódu tu, som ešte chýba určité časti. Ako môžem porovnávať to do kódu: Keď udrie P Q, LED sa rozsvieti a Q prestane počítať. Kód: knižnica IEEE; použitie ieee.std._logic_1164.all, použitie ieee.numeric_std.all, jednotka dopravník je port (čidlo, reset: v std_logic, p: v std_logic_vector (4 downto 0), q: out_std_logic_vector (4 downto 0 ) Led_on: out std_logic), koniec dopravníka architektúra tok dopravníka je signál count_sig: unsigned (4 downto 0), začína proces (senzor, reset, Led_on) začať, ak (reset = 0), potom count_sig
 
Skúste to. Opravených niekoľko ďalších otázok, preklepy a odstrániť Led_on zo zoznamu citlivosti. Aj syntaxe skontrolovať ho, ale ani simulovať. Všimnite si, Led_on aktívny signál nízke. Knižnica IEEE; používať ieee.std_logic_1164.all, použitie ieee.numeric_std.all, jednotka dopravník je port (čidlo, reset: v std_logic, p: v std_logic_vector (4 downto 0), q: z std_logic_vector (4 downto 0); Led_on : mimo std_logic), koniec dopravníka, architektúra tok dopravníka je signál count_sig: unsigned (4 downto 0), začína proces (čidlo, reset) začať ak (reset = 0), potom count_sig
 
Senzor sa používa na zistenie počtu fliaš na dopravník. Užívateľ môže nastaviť ľubovoľné množstvo fliaš chce zistiť na vstupy: P4P3P2P1P0. Navrhnúť systém tak, že pri dosiahnutí referenčné číslo, LED sa zapne a počet zastaví na referenčným číslom. Zobraziť počet fliaš zistených na 5 LED a hodnoty odkazu na ďalších 5 LED diód. Po aktívnej Vysoká Reset je aktivovaný, počítanie začína znova, počítadlo vráti na 0 a LED nesvieti. Tu je otázka. Kód, ktorý ste dal, nemôže počítať.
 
Môžete napísať nejaké príklady svojich vstupov a predpokladaných výstupov, potom to bude jednoduchšie identifikáciu problému
 

Welcome to EDABoard.com

Sponsor

Back
Top