>

V

vahidkh6222

Guest
hi evrybody,
v mojom VHDL projekte, chcem použiť veľké množstvo testovacích vektorov vstup do mojej písomnej VHDL modul a prečítajte si späť výstupy do PC príliš vidieť, ak je dobre funguje, alebo nie ....
Mám ML402 doska a ja som nejako familliar s mikroblaze ....
Myslel som, že o tom, či môžem napísať moje testovacích vektorov vstup na vnútorný BRAMs na FPGA a potom moje VHDL modul independentely procese tejto vstupy a napíšte výsledok späť do BRAMs.
Nakoniec mikroblaze Prečítajte si späť to Bram je obsah do PC.Týmto spôsobom som zvyknutý nutné žiadne ďalšie prepojenie vedomostí.Ja len chcem, aby môj test modulu .... Je to scenár možný?alebo je to jednoducho blázon dreaaam?!

<img src="http://www.edaboard.com/images/smiles/icon_biggrin.gif" alt="Very Happy" border="0" />

tam nie je nič zlého?
pomôžte mi plz?

 
aspoň mi nejaké nápady, o testovanie mojich QPSK demodulátor (funkcie) na palube.:: Ding Ding:: BUZZ prosím

 

Welcome to EDABoard.com

Sponsor

Back
Top